去搜搜
头像
光刻机霸主ASML有多挣钱?
2020-10-16 17:34

光刻机霸主ASML有多挣钱?

文章所属专栏 前沿技术情报所

各位读者大家周五好,又到了周末的时候,一起来看一下本周前沿技术领域值得回顾一下的新鲜事。在本周,光刻机霸主ASML发布了三季度财报。因为芯片领域我国被频频卡脖子,这家公司最近两年在国内可谓声名鹊起。这家公司财务与业务数据表现究竟如何?我们一起来看一下。


这两年来我国在芯片上被卡脖子的状况显露无疑,使得集成电路一下子成为显学,芯片产业链也逐渐变得尽人皆知。作为芯片上游关键设备的光刻机,也愈发受到关注。以前大家可能觉得汽车、飞机工业是制造业的王冠。现在来看,光刻机这种高端、略显小众的产品,其制造难度、精密程度并不比汽车、飞机弱。

 

众所周知的是,荷兰ASML(阿斯麦)公司是光刻机领域毫无争议的巨头,市占率惊人。随着芯片集成电路的火热,这家公司势必也赚得盆满钵满。这家公司的业绩究竟如何,是怎么样的体量?主要产品有哪些,市场表现如何?




全年营收按人民币计有望超千亿

                                          

10月14日,ASML公司公布了截至9月30日的第三季度财报数据,在第三季度,ASML销售收入达39.58亿欧元,净利润达10.62亿欧元,折合人民币约87亿元。

 

ASML今年2季度与3季度主要财务表现


2020年前三个季度,ASML净销售额为97.42亿欧元,同比增长25%,净利润为22.03亿欧元,同比增长51%。ASML预估,四季度的收入在36到38亿欧元之间,毛利率达到50%左右, 2020全年营收至少能达到133亿欧元

 

既往业绩显示,2019年ASML的净销售额为118.2亿欧元(约907.3亿人民币),同比增长8%;净利润为25.92亿欧元(约198.9亿人民币)。今年营收如果达到预估的133亿欧元,按人民币计,营收将突破千亿达到1046亿


ASML作为芯片上游核心产品光刻机领域的绝对霸主,其营收和利润要小于下游客户台积电。2019年,台积电营收346亿美元,净利润118亿美元,毛利率达到46%。芯片设计领域也是如此,ARM公司一年营收几十亿美元,下游采用ARM构架的高通一年营收240亿美元。


这主要源于:上游厂商虽然在该领域取得了垄断地位,但下游厂商在上游公司产品的基础上,还有大量的研发工作,也贡献了更大的价值。同时,也更接近终端变现环节。


具体在晶圆代工行业来说,光刻机决定了芯片线路的线宽,是前道工艺七大设备之首,将芯片设计环节设计出的电路刻在硅片上。但除了光刻机,芯片制造还需要刻蚀机、镀膜设备、量测设备、清洗机、离子注入机等设备,才能完成制造过程,产业链更加复杂。同时,面对的产业需求也更大。

 


 目前ASML的市值达到1616亿美元。当然,这种具有战略意义的公司,市值也只能做个参考,因为想收购这家公司,即使有钱也不一定能买到。就像波音、洛克希德马丁,市值不算非常高,但战略意义巨大。

 

 EUV贡献主要营收,DUV贡献主要出货量

 

光刻机主要有两种:一种是深紫外线光刻机(DUV),采用193纳米波长的光;另一种是更高端的极紫外线光刻机(EUV),使用的是13.5纳米波长的光。波长越小,可支持的芯片工艺制程就越高。一般认为,当芯片工艺达到5纳米后,只有极紫外线光刻机可以满足需求。有观点表示,即使是7NM的制程,DUV光刻机其实也够用了。


相比于DUV光刻机,EUV光刻机还有更高的生产效率,不需要多重曝光,一次曝光就能得到想要的精细图形,在良率上也有更好的表现。

 

数据显示,在光刻机领域,从整体出货量来看,ASML在2018年的份额达到67.3%,位居第二和第三的是尼康和佳能,三家公司在全球市场总体份额达到99%。在高端的极紫外线光刻机领域,ASML份额则是100%,只有它能够生产,全球独一份。

 

 

从营收结构看,目前出货量仍以深紫外线光刻机为主,毕竟现在能在10纳米以下的先进制程领域生产的厂商只有台积电、三星和英特尔。大部分芯片企业与代工厂商还没必要买EUV设备。不过因为极紫外线光刻机价格更高,在营收中的占比更高一些。

 

数据显示,在第三季度,ASML共销售了60台光刻机,总额达31亿欧元,其中EUV光刻机只占了14台,对营收的贡献度达66%收入。一套极紫外线光刻机售价多少?ASML总裁兼首席执行官Peter Wennink表示,第三季度的新增订单达到29亿欧元,其中5.95亿欧元来自4台EUV设备。根据这些数据简单计算,一台EUV光刻机的价格约为1.48亿欧元,折合人民币11.74亿元。


深紫外线光刻机售价则低得多,有资料称,一套DUV光刻机售价在3000-5000美元之间,是EUV光刻机售价的五分之一到三分之一。也有数据显示,较高端的DUV光刻机价值在6亿左右,比EUV便宜40%。



ASML在EUV和DUV光刻机上都在寻求更深的突破。在财报中,ASML表示,在DUV光刻业务领域,第三季度对第一台双工件台深紫外光刻机TWINSCAN NXT:2050i 进行了质量认证,对掩模版工作台、晶圆工作台、投影物镜和曝光激光器进行了技术改进,可以实现更好的套刻精度控制和更高的生产率,即将进入量产阶段并于第四季度初发货。

 

在 EUV光刻业务领域,ASML表示,绝大部分TWINSCAN NXE:3400B系统在客户处同时进行了生产率模组的升级。同时,新机型 TWINSCAN NXE:3600D的曝光速度达到每小时曝光 160 片晶圆,提高了18%的生产率,并改进机器匹配套准精度至 1.1 纳米,有望于 2021年中期开始发货。

 

从出货量来说,EUV出货量有望持续增长。数据显示,ASML的EUV光刻机自2016年上市后,销量从2016年的5台增长到了2019年的26台,对营收的贡献也越来越大。不过从绝对数量来看,DUV仍然会是主流,数据显示,今年一季度的时候,ASML共接到73台光刻机订单,其中只有11是极紫外光刻机。三季度,确认收入的60台光刻机中,14台为极紫外光刻机。从目前来看,除了台积电、三星、英特尔,能迈进先进制程领域的代工厂商几乎没有。大部分厂商需求通过DUV就能满足。

 

据媒体报道,虽然在美国的压制下,国内购买先进光刻机难上加难,但仍有希望从ASML购买DUV光刻机。ASML首席财务官Roger Dassen表示,与中芯国际等中国客户的业务往来,在一些情况下,出口DUV光刻机,无需美国许可。但如果相关系统或部件是从美国出口的,那些设备仍然需要得到美方的许可。ASML首席执行官Peter Wennink也表示,根据美国现行法规,从荷兰直接出口到中国的DUV光刻机不会受到影响。

 

如果成真的话,对国内半导体来说是个好消息。虽然不能买到EUV光刻机,在5nm以及更先进的制程上无法取得突破,但这对于国内半导体行业来说太遥远了,国内晶圆代工厂商能触摸到5nm制程还有很长的路要走。现在能做好14nm的制程就已经很难了。而如果能在14nm制程上取得突破,虽然在智能手机芯片领域缺乏竞争力,但用于其他领域比如通信芯片、基站芯片等已经足够了。

 

从营收的区域来源看,中国台湾地区贡献了ASML光刻机收入的47%,韩国为26%,中国大陆地区为21%。中国大陆是一个非常重要的市场。目前ASML已经在北京、上海、深圳、无锡等地开设了分支机构,为客户提供服务和咨询。因为光刻机是一种非常精密的工业产品,交付给客户的时候,还需要数个月的安装调试期,因此需要在本地建立服务团队。



ASML在无锡有光刻设备技术服务基地,在深圳有亚洲最大的软件研发中心。虽然面临来自美国的压力,但ASML肯定不会轻易放弃中国这个大市场。

 

毛利率没有想象中那么高

 

数据显示,ASML的毛利率一直处于45%-50%之间,今年3季度毛利率为47.5%。这是一个很高的毛利率水平,不过也并没有很多人想象中那么高。有人看到这个毛利率水平的时候还曾疑惑:ASML的产品在全球都是供不应求,毛利率应该非常咋舌才对,怎么还不到50%?

 

这主要是由于其成本率也是比较高的。ASML实际上可以看做是是光刻机领域的集成商,核心零部件需要对外采购,成本是相对固定的。交付一台光刻机,需要照明系统、环境控制系统、掩模台系统、自动对准系统、调平调焦测量系统、整机控制系统、工作台系统等多种子结构的零部件,核心零部件超过8000种,大部分零部件上,ASML都需要对外采购。有数据甚至称,在光刻机核心技术上,ASML掌握了约10%左右,90%左右的零部件需要对外采购,供应商主要集中在美国、德国、日本等。

 

 


最核心的零部件包括顶级的光源、高精度的镜头、精密的工作台等。镜头方面一直被德国的卡尔•蔡司公司垄断,其精确程度达到皮米级别,即纳米的千分之一。在工作台方面,也主要依靠德国的产品。在光源方面,主要是美国的Cymer,ASML于2012年将其收购。在计量设备上,主要供应链也在美国。

 

因此,虽然产品需求上可谓是供不应求,但交付一套设备,固定的零部件支出形成了较高的成本。因此ASML的毛利率并没有达到让人咋舌的地步。

 

这跟飞机制造领域的波音有点像。波音是飞机制造领域的霸主,不过毛利率长期维持在30%-40%之间,因为主要的核心零部件来自于对外的采购,比如发动机来自劳斯莱斯。


不过不管是ASML还是波音,虽然大量零部件采购于外部,但本身的技术壁垒还是非常高,因为对这种高精密的设备来说,设计与组装也有非常高的技术难度。ASML的研发费用在营收中的占比一直在15%-20%之间。

 

挑战在哪儿?


展望2021年,ASML表示,由于宏观环境的不确定因素,包括疫情给经济带来的影响,以及地缘政治的变化,预期业绩增长将是低双位数。尽管如此,终端市场驱动因素的变化(比如5G、人工智能和高性能计算),将推动先进制程的逻辑芯片和存储芯片的市场需求,这都需要使用到先进的光刻机。

 

 ASML在光刻机领域已经建立了足够深的技术壁垒,可以想象其位置在未来数年内无法撼动,其营收表现也将与行业的景气度直接挂钩。在全球对芯片半导体的需求没有变弱之前,ASML的营收预计会一直非常亮眼。


其主要的瓶颈还是在产能方面,一直很难有效扩大产能。另外,作为精密设备,光刻机的交付过程也比较长,其成本也很难降下来。另外就是零部件获取的风险。当核心供应商出问题时,ASML正常出货会有一定困难。2018年底,元件供应商Prodrive工厂发生火灾,ASML出货就出现了递延。 另外核心零部件价格上涨也会是影响业绩的重要因素。

本内容未经允许禁止转载,如需授权请微信联系妙投小虎哥:miaotou515
如对本稿件有异议或投诉,请联系tougao@huxiu.com
评论
0/500 妙投用户社区交流公约
最新评论
这里空空如也,期待你的发声